Intel Foundry Services (IFS) e Arm anunciam parceria multigeracional para permitir que os projetistas de chips construam sistemas em um único chip (SoCs) de baixo consumo de energia na tecnologia de processo de ponta de 18A da Intel. A empresa e parceiros estão empolgados em proporcionar aos nossos clientes a oportunidade de projetar seus SoCs móveis na tecnologia de ponta da Intel, emparelhada com o mais recente e poderoso núcleo de CPU Arm – o Cortex-X4 de última geração – para melhorar o desempenho e a eficiência energética.

Essa parceria entre IFS e ARM é um grande indicativo do impulso que está sendo construído em torno da IFS, e é parte da razão pela qual eu estava ansioso para assumir a liderança da organização em março. A IFS está em uma jornada notável, e agora que passei um tempo com a organização, quero compartilhar mais sobre por que nossa missão é de extrema importância para os clientes de foundry ao redor do mundo, para a Intel e para mim.

IFS e ARM: Uma Oportunidade Enorme

Eu me juntei à Intel pela primeira vez em 1981, no início da era do PC, e retornei em 2021, quando nosso CEO Pat Gelsinger introduziu a estratégia IDM 2.0 da Intel. Ao ouvir sua visão, fui motivado pela oportunidade de ajudar a restaurar a liderança global da Intel na crescente indústria de semicondutores.

A demanda global por semicondutores continua a crescer a longo prazo, e estima-se que a indústria de chips atingirá US$1 trilhão em vendas até o final desta década. Essa é uma oportunidade sem precedentes. Ao mesmo tempo, 80% da capacidade mundial de fabricação de chips está concentrada na Ásia, e muitos clientes de foundry estão em busca de mais opções.

A indústria precisa de cadeias de suprimentos resilientes e globalmente diversas. A Intel é uma das únicas três empresas do mundo que fabricam chips de ponta atualmente. E até a criação da IFS, a Intel era a única sem uma foundry comercial. Ao aproveitar as capacidades de fabricação de ponta da Intel, sua lendária cadeia de suprimentos e seu forte ecossistema de parceiros, a IFS tem a ambição de se tornar a segunda maior foundry até 2030.

Abordagem Diferenciada

Parte de como impulsionaremos o crescimento é indo além das ofertas tradicionais de foundry e construindo a IFS como a primeira foundry de sistema aberto do mundo, liderando a transição da indústria de sistemas em um único chip para “sistemas de chips” em um pacote. Nossas ofertas combinadas de fabricação de wafers, tecnologia de processo avançada e embalagem, padrões de chiplet, software, ecossistema robusto e capacidades de montagem e teste ajudarão nossos clientes a construir designs inovadores de silício e entregar produtos totalmente personalizáveis de ponta a ponta.

As tecnologias avançadas de embalagem da Intel são um diferencial importante da IFS, permitindo que os clientes incorporem mais recursos em cada nova geração, mantendo os mesmos custos, consumo de energia e espaço físico. Hoje, alguns de nossos maiores clientes da IFS, como Amazon, Cisco e o Departamento de Defesa dos Estados Unidos (DoD), utilizam nossas soluções de embalagem. O DoD precisa de capacidades avançadas de foundry e embalagem de ponta, em solo nacional, mais do que nunca, e a IFS está pronta para ajudar o governo dos EUA a fazer uma transição segura para foundries comerciais.

IFS e ARM: Cliente em Primeiro Lugar

As pessoas e a cultura da Intel sempre foram pilares fundamentais para o sucesso e a resiliência de nossa empresa – essa foi uma das razões pelas quais eu retornei. Nos últimos dois anos, a Intel desenvolveu novas habilidades e modelos operacionais chave para impulsionar uma mudança cultural em direção a uma abordagem voltada para o cliente em apoio aos clientes de foundry. Isso é uma prioridade máxima para a IFS, pois ser uma foundry significa ser um negócio de serviço ao cliente acima de tudo e se envolver profundamente com os clientes ao longo do processo de design e fabricação.

Como parte dessa mudança dentro da Intel, tratamos os clientes externos de foundry e nossas unidades de negócios internas de forma igual, fornecendo confidencialidade e proteção à propriedade intelectual (PI) e estabelecendo corredores de capacidade dedicados aos clientes. Para fazer isso, estamos implementando um modelo de foundry interno que estabelece processos consistentes, sistemas e diretrizes entre nossas unidades de negócios e nossas equipes de design e fabricação. Isso coloca os clientes externos de foundry em igualdade com nossos grupos de produtos internos. Os clientes da IFS podem contar com a excelência técnica, inovação e capacidade para os quais se inscreveram.

Implementando de Estratégia IFS e ARM

Sabemos por experiência própria que construir rapidamente um negócio de foundry bem-sucedido do zero é uma das tarefas mais difíceis que poderíamos assumir. Agora, ao implementar nossa estratégia IDM 2.0, estamos dando passos para garantir que nós e nossos clientes sejamos bem-sucedidos.

A Intel está se preparando para atender à demanda futura de clientes de foundry por meio de sua abordagem de capacidade de fabricação escalável em todo o mundo, aproveitando as expansões de fábricas nos EUA, na UE e em Israel. Preparar nossas fábricas para atender aos clientes de foundry nos permitirá lidar com a crescente demanda global por chips de ponta, ao mesmo tempo em que alcançamos a escala necessária para continuar investindo em tecnologias avançadas.

Também estamos construindo um ecossistema robusto de eletrônica de design automatizado (EDA), IP de silício, serviços de design, nuvem e alianças militares, aeroespaciais e governamentais dos EUA para auxiliar os clientes de foundry da Intel a transformar suas ideias em produtos reais. Por meio do programa IFS Accelerator, oferecemos uma interface perfeita com as tecnologias de processo da Intel e aceleramos a inovação do cliente nas plataformas de fabricação da IFS.

O Caminho a Seguir

A IFS continua dedicada a garantir que os produtos dos clientes de foundry recebam nosso máximo foco em termos de serviço, capacidade tecnológica e compromissos de capacidade. Conforme construímos e expandimos nosso futuro em serviços de foundry, buscamos o apoio de nossos parceiros estratégicos de ecossistema, como Arm, Cadence, Synopsys e outros. Convidamos a comunidade de design de SoC a utilizar a força da Intel para impulsionar o próximo nível de inovação em semicondutores.

A IFS percorreu um longo caminho nos últimos dois anos, e estou entusiasmado com as possibilidades que temos pela frente. A IFS terá seu evento de destaque ainda este ano, onde falaremos sobre nosso progresso e como estamos trabalhando com nossos parceiros de ecossistema para impulsionar as inovações de nossos clientes. Estou ansioso para compartilhar mais informações à medida que continuamos a implementar nossa estratégia, expandir nossas capacidades e criar uma nova alternativa de foundry de ponta para o mundo.

Leia também: Intel Agilex 7: A nova geração de FPGAs com PCIe 5.0 e CXL

Exit mobile version